Data : 17/09/2007 ========================================================== ARCH C ========================================================== 1. Instalação SystemC 2.1 + ArchC - Copiar Systemc2.1 na página da disciplina: www.gpec.ucdb.br/ricrs/tmp/archc - Copiar o arquivo patch -systemc... na localização incicada em (1) - Descompactar Systemc2.1 na pasta ArqII - Descompactar path-... - Acessar a pasta path-systemc... e executar "patch -p0 < patch_systemc-2.1.v1-gcc4 " - Acessar pasta systemc2.1 e criar pasta Objdir - Acessar pasta Objdir e executar "../configure" - Executar : make - Executar : make install - Copiar archc... em www.gpec.ucdb.br/ricrs/tmp p/ pasta ArqII - Descompactar archc - Instalar archc : make 2. - Copiar mip1.tar.gz e r3000.tar.gz para a pasta models de archc s3 modelos principais na construção de processadores mips1.ac // processador.ac = RECURSOS BASICOS mips1_isa.ac // processador_isa.ac = INSTRUCOES DO PROCESSADOR mips1-isa.cpp // processador-isa.cp = COMPORTAMENTO DAS INSTRUCOES 3. Criar o simulador - Entrar no diretorio do processador (r3000.v1, p.e.) e executar ../../bin/acsim r3000.ac -dy -abi -> cria simulador interpretado Foi criado um Makefile.archc - Executar make -f Makefile.archc - " ./r3000.x O QUE DEVE APERECER: - Acessar a pasta path-systemc... e executar "patch -p0 < patch_systemc-2.1.v1-gcc4 ": patching file ../systemc-2.1.v1/src/sysc/datatypes/fx/sc_context.h patching file ../systemc-2.1.v1/src/sysc/datatypes/fx/scfx_rep.h patching file ../systemc-2.1.v1/src/sysc/datatypes/int/sc_signed.h patching file ../systemc-2.1.v1/src/sysc/datatypes/int/sc_unsigned.h patching file ../systemc-2.1.v1/src/sysc/datatypes/misc/sc_value_base.h patching file ../systemc-2.1.v1/src/sysc/kernel/sc_process_host.h patching file ../systemc-2.1.v1/src/sysc/kernel/sc_process_int.h - Acessar pasta Objdir e executar "../configure" checking build system type... i686-pc-linux-gnu checking host system type... i686-pc-linux-gnu checking target system type... i686-pc-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes /home/aluno/aline/arq/systemc-2.1.v1/config/missing: Unknown `--run' option Try `/home/aluno/aline/arq/systemc-2.1.v1/config/missing --help' for more inform ation configure: WARNING: `missing' script is too old or missing checking for gawk... gawk checking whether make sets $(MAKE)... yes checking for gcc... gcc checking for C compiler default output... a.out checking whether the C compiler works... yes checking whether we are cross compiling... no checking for suffix of executables... checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gcc accepts -g... yes checking for gcc option to accept ANSI C... none needed checking for style of include used by make... GNU checking dependency style of gcc... gcc3 checking for g++... g++ checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking dependency style of g++... gcc3 checking for ranlib... ranlib checking for a BSD-compatible install... /usr/bin/install -c configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/sysc/Makefile config.status: creating src/sysc/communication/Makefile config.status: creating src/sysc/datatypes/Makefile config.status: creating src/sysc/datatypes/bit/Makefile config.status: creating src/sysc/datatypes/fx/Makefile config.status: creating src/sysc/datatypes/int/Makefile config.status: creating src/sysc/datatypes/misc/Makefile config.status: creating src/sysc/kernel/Makefile config.status: creating src/sysc/tracing/Makefile config.status: creating src/sysc/qt/Makefile config.status: creating src/sysc/utils/Makefile config.status: creating src/sysc/packages/Makefile config.status: creating src/sysc/packages/boost/Makefile config.status: creating src/sysc/packages/boost/bind/Makefile config.status: creating src/sysc/packages/boost/config/Makefile config.status: creating src/sysc/packages/boost/config/compiler/Makefile config.status: creating src/sysc/packages/boost/config/platform/Makefile config.status: creating src/sysc/packages/boost/config/stdlib/Makefile config.status: creating src/sysc/packages/boost/detail/Makefile config.status: creating src/sysc/packages/boost/utility/Makefile config.status: creating examples/Makefile config.status: creating examples/sysc/Makefile config.status: creating examples/sysc/fir/Makefile config.status: creating examples/sysc/fft/Makefile config.status: creating examples/sysc/fft/fft_flpt/Makefile config.status: creating examples/sysc/fft/fft_fxpt/Makefile config.status: creating examples/sysc/pipe/Makefile config.status: creating examples/sysc/pkt_switch/Makefile config.status: creating examples/sysc/rsa/Makefile config.status: creating examples/sysc/simple_bus/Makefile config.status: creating examples/sysc/simple_fifo/Makefile config.status: creating examples/sysc/simple_perf/Makefile config.status: creating examples/sysc/2.1/Makefile config.status: creating examples/sysc/2.1/dpipe/Makefile config.status: creating examples/sysc/2.1/forkjoin/Makefile config.status: creating examples/sysc/2.1/reset_signal_is/Makefile config.status: creating examples/sysc/2.1/sc_export/Makefile config.status: creating examples/sysc/2.1/sc_report/Makefile config.status: creating examples/sysc/2.1/scx_barrier/Makefile config.status: creating examples/sysc/2.1/scx_mutex_w_policy/Makefile config.status: creating examples/sysc/2.1/specialized_signals/Makefile config.status: executing depfiles commands - Cáp. 5: 5.1-5.3; 5.8-5.14; 5.28-5.36; C.1 - Tradução de programas (aula do dia 08/08) usando o ISA MIPS - Cáp. 6: 6.1-6.20; 6.30-6.35; 6.37-6.40; 6.48